Вход

Разработка плана урока

Рекомендуемая категория для самостоятельной подготовки:
Курсовая работа*
Код 90053
Дата создания 2015
Страниц 25
Источников 5
Мы сможем обработать ваш заказ (!) 26 апреля в 12:00 [мск]
Файлы будут доступны для скачивания только после обработки заказа.
1 920руб.
КУПИТЬ

Содержание

СОДЕРЖАНИЕ
1 Тема разрабатываемой лекции 3
Образовательный стандарт 3
Компетенции специальности 210112 «Электронные приборы и устройства» 3
Область профессиональной деятельности выпускника 3
План лекции 4
Цели лекции 4
Ход лекции 4
2 Конспект учебного материала 5
Актуализация опорных знаний 5
Изучение нового материала 8
Закрепление изученного материала 14
3 Спецификация понятий 16
4 Граф учебной информации 17
5 Проект эскиза доски по данной теме 18
6 Опорный конспект учебного материала 19
7 Листы рабочей тетради по теме 20
8 Вопросы для актуализации опорных понятий 22
9 Домашнее задание 23
10 Методическое обеспечение темы лекции 24
11 Приложение 25

Фрагмент работы для ознакомления

Только в 1999 году появилась система проектирования нового поколения Quartus, предназначенной для проектирования устройств на ПЛИС APEX20K. ПО системы MAX+PLUS II, представляющее собой единое целое, обеспечивает управление пользователя средой логического проектирования и помогает достичь максимальной эффективности и производительности. Все пакеты работают как на платформе IBM PC, так и на платформах SUN, IBM RISC/6000 и HP9000. В дальнейшем мы будем рассматривать работу на платформе IBM PC.
Для нормальной инсталляции и работы САПР MAX+PLUS II (версия 9.4 вышла в декабре 1999 года) необходима IBM PC совместимая ЭВМ с процессором не хуже Pentium, объемом ОЗУ не хуже 16 Мб и свободным местом на жестком диске порядка 150 –400 Мб в зависимости от конфигурации системы. Из собственного опыта можем сказать, что для разработки больших кристаллов на ПЛИС FLEX10К50 и выше желательно иметь не менее 64 Мб ОЗУ (лучше 128 еще лучше 256, совсем хорошо 384 Мб и выше) и процессор Pentium II (Р-3 реально не дает особого выигрыша). Конечно, можно использовать и более слабые машины, но тогда возрастает время компиляции и увеличивается нагрузка на жесткий диск из-за свопинга. Увеличение объема оперативной памяти и кэша дает лучшие результаты по сравнению с увеличением тактовой частоты процессора. Если не предполагается трассировка больших кристаллов, то вполне хватает 32 Мб ОЗУ при хорошей скорости компиляции проекта. Что касается выбора операционной системы, то без сомнения, лучше использовать Windows NT, хуже Windows 95 OSR2, плохо Windows 98, особенно локализованную версию. Очевидно, это связано с тем, что изначально пакет был разработан под Unix и не полностью использует все механизмы Windows. Особенно это заметно при временном моделировании сложных устройств ЦОС, когда перерисовка экрана занимает основное время. Поскольку пакет не локализован, то лучше использовать не локализованные (американскую или паневропейскую) версии Windows.
Во время инсталляции системы MAX+PLUS II создаются два каталога: \maxplus2 и \max2work. Каталог \maxplus2 содержит системное ПО и файлы данных и разбит на подкаталоги, перечисленные в табл.1.
Название системы MAX+PLUS II является аббревиатурой от Multiple Array MatriX Programmable Logic User System (Пользовательская система программирования логики упорядоченных структур). Система MAX+PLUS II разработана фирмой Altera и обеспечивает многоплатформенную архитектурно независимую среду создания дизайна, легко приспосабливаемую для конкретных требований пользователя. Система MAX+PLUS II имеет средства удобного ввода дизайна, быстрого прогона и непосредственного программирования устройств.
Состав ПО системы MAX+PLUS II является полным комплектом, обеспечивающим создание логических дизайнов для устройств фирмы Altera с программируемой логикой, в том числе семейства семейства устройств Classic, MAX 5000, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000 и FLEX 10K. Информация о других, поддерживаемых семействах устройств фирмы Altera приведена в файле read.me в системе MAX+PLUS II.
7. Листы рабочей тетради по теме
Таблица 1. Структура системного каталога \maxplus2 системы MAX+PLUS II
Подкаталог Описание .\drivers Содержит драйверы устройств для cреды WINDOWS NT (только для инсталляции на платформе PC в среде WINDOWS NT) .\edc Содержит поставляемые фирмой Altera командные файлы (.edc), которые генерируют выходные файлы (.edo) по заказу пользователя для заданных условий тестирования .\lmf Содержит поставляемые фирмой Altera файлы макробиблиотек (.lmf), которые устанавливают соответствие между логическими функциями пользователя и эквивалентными логическими функциями MAX+PLUS II .\max2inc Содержит Include-файлы (файлы “заголовков”) с прототипами функций для разхработанных фирмой Altera макрофункций. В прототипах функций перечисляются порты (выводы) для макрофункций, реализованных в текстовых файлах проекта (.tdf), написанных на языке AHDL .\max2lib\edif Содержит примитивы и макрофункции, используемые для пользовательских интерфейсов EDIF .\max2lib\mega_lpm Содержит мегафункции, в том числе библиотеку функций параметризованных модулей (LPM) и Include-файлы для них с соответствующими прототипами на языке AHDL .\max2lib\mf Содержит макрофункции пользовательские и устаревшие (74-series) .\max2lib\prim Содержит поставляемые фирмой Altera примитивы .\vhdlnn\altera Содержит библиотеку altera с программным пакетом maxplus2. В этот пакет входят все примитивы, мегафункции и макрофункции системы MAX+PLUS II, поддерживаемые языком VHDL .\vhdlnn\ieee Содержит библиотеку ieee пакетов VHDL, в том числе std_logic_1164, std_logic_arith, std_logic_signed и std_logic_unsigned .\vhdlnn\std Содержит библиотеку std с пакетами стандартов и средств ввода/вывода текста, описанными в справочнике по стандартам института IEEE на языке VHDL IEEE Standard VHDL Language Reference Manual
Каталог \max2work содержит файлы обучающей программы и примеры и разделяется на подкаталоги, описанные в табл. 2.
Таблица 2. Структура рабочего каталога \max2work системы MAX+PLUS II
Подкаталог Описание .\ahdl Содержит файллы примеров, иллюстрирующих тему “Как использовать язык AHDL” (How to Use AHDL) в электронном справочнике (MAX+PLUS II Help) и в руководстве MAX+PLUS II AHDL .\chiptrip Содержит все файлы обучающего проекта chiptrip, описанного в руководстве MAX+PLUS II AHDL .\edif Содержит все файлы примеров, иллюстрирующих особенности EDIF в электронном справочнике (MAX+PLUS II Help) .\tutorial Содержит информационный файл read.me обучающего проекта chiptrip. Все файлы, сохдаваемые в проекте chiptrip, должны находиться в этом подкаталоге .\vhdl Содержит файлы примеров, иллюстрирующих тему “Как использовать язык VHDL” (How to Use VHDL) в электронном справочнике (MAX+PLUS II Help) и в руководстве MAX+PLUS II VHDL .\verilog Содержит файлы примеров, иллюстрирующих тему “Как использовать язык верификационного протокола Verilog HDL” (How to Use Verilog HDL) в электронном справочнике (MAX+PLUS II Help) и в руководстве MAX+PLUS II Verilog HDL
8. Вопросы для актуализации опорных понятий
Вопросы:
1. Что такое система програмирования MAX+PLUS II?
2. Какие сферы её использования?
3. В каком году она была изобретена и какие изменения претерпевала с тех пор?
4. Какие основные команды Вым известны? (на англ. языке).
5. Основная форма записи програм при работе в данной среде.
9. Домашнее задание
Ваше домашнее задание будет заключаться в следующем:
Задания для самостоятельной работы:
согласно методических рекоментаций к самостоятельной работе и подготовке по дисциплине.
Домашнее задание: подготовить отчёт согласно требованиям.
Содержание отчёта по занятию.
Название, цель и задания практической работы.
Описание действий в ходе выполнения работы, подтвердженное соответствующими рисунками (скриншотами).
Итоги о проделанной работе.
10. Методическое обеспечение темы занятия
1. Н.П.Тимошенко, Е.В.Дубчак. Система автоматизированного проектирования MAX+PlusII и ее применение в разработке компьютеризованных систем защиты информации. Часть 3. Краткое справочное руководство по языку VHDL. Учебное пособие, (электронный вариант).
2. Алексеев О.В., Головков А.А. Автоматизация проектирования радио-
электронных средств: Учебное пособие для вузов. – М.: Высш. шк., 2000.
Наглядное и научное оборудование:
1. Тесты.
Технические средства обучения:
Класс ПЭОМ
Програмный продукт “max plus II”.
Конспект.


11. Приложение
4 разрядный счетчик с переносом 4-bit Adder with carry in and carry out
library ieee;
use ieee.std_logic_1164.all ;
use ieee.std_logic_arith.all ;
entity ADD4C is
port (CI, A0, A1, A2, A3 : in std_logic ;
B0, B1, B2, B3 : in std_logic ;
S3, S2, S1, S0, CO : out std_logic) ;
end ADD4C ;
architecture v1 of ADD4C is
signal A_I : unsigned (4 downto 0) ;
signal B_I : unsigned (4 downto 0) ;
signal S_I : unsigned (4 downto 0) ;
begin
A_i <= '0' & A3 & A2 & A1 & A0 ;
B_I <= '0' & B3 & B2 & B1 & B0 ;
S_i <= A_I + B_I + CI ;
CO <= S_i(4) after 2 ns ;
S3 <= S_i(3) after 2 ns ;
S2 <= S_i(2) after 2 ns ;
S1 <= S_i(1) after 2 ns ;
S0 <= S_i(0) after 2 ns ;
end v1 ; 4 разрядный регистр -- 4-bit Buffer
library ieee ;
use ieee.std_logic_1164.all ;
entity BUF4 is
port (IN3, IN2, IN1, IN0 : in std_logic ;
Z3, Z2, Z1 Z0 : out std_logic) ;
end BUF4 ;
architecture v1 of BUF4 is
begin
Z3 <= IN3 after 5 ns ;
Z2 <= IN2 after 4 ns ;
Z1 <= IN1 after 1 ns ;
Z0 <= IN0 after 2 ns ;
end v1 ;
2
6
1
2
1
74
7
1
5
8
2

Список литературы [ всего 5]

10 МЕТОДИЧЕСКОЕ ОБЕСПЕЧЕНИЕ ТЕМЫ ЛЕКЦИИ
1. Информационные слайды, проектор.
2. Демонстрационный стенд, источник питания напряжением 4,5 В, лампочка накаливания на напряжение 4,5 В, полупроводниковые диоды из серии КД226,КД220, провода соединительные, электроизмерительный прибор – тестер.
3. Гершунский Б.С. «Основы электроники и микроэлектроники», Учебник для ВУЗов, 4-е изд., перераб. и доп. М., Высшая школа , 1989, 423 с., стр.89-102
4.Котлярский А.И. и др., «Промышленная электроника», Учебник для ВУЗов ,2-е изд., перераб. и доп. М.,Недра, 1984, 284 с., стр. 7-21
5.Конспект.
Очень похожие работы
Пожалуйста, внимательно изучайте содержание и фрагменты работы. Деньги за приобретённые готовые работы по причине несоответствия данной работы вашим требованиям или её уникальности не возвращаются.
* Категория работы носит оценочный характер в соответствии с качественными и количественными параметрами предоставляемого материала. Данный материал ни целиком, ни любая из его частей не является готовым научным трудом, выпускной квалификационной работой, научным докладом или иной работой, предусмотренной государственной системой научной аттестации или необходимой для прохождения промежуточной или итоговой аттестации. Данный материал представляет собой субъективный результат обработки, структурирования и форматирования собранной его автором информации и предназначен, прежде всего, для использования в качестве источника для самостоятельной подготовки работы указанной тематики.
bmt: 0.00366
© Рефератбанк, 2002 - 2024