Вход

Совершенствование элементов технологии производства микросхем методом литографии с целью улучшения эксплуатационных свойств

Рекомендуемая категория для самостоятельной подготовки:
Дипломная работа*
Код 202590
Дата создания 19 мая 2017
Страниц 80
Мы сможем обработать ваш заказ (!) 1 апреля в 12:00 [мск]
Файлы будут доступны для скачивания только после обработки заказа.
3 880руб.
КУПИТЬ

Описание

ЗАКЛЮЧЕНИЕ

Таким образом, в процессе дипломной работы, был проведен анализ перспективных технологий для перехода к размерам элементов ИМС нанометрового диапазона. Как было показано, поиск таких альтернатив обусловлен ограниченностью возможностей оптических систем фотолитографических установок, связанных с дифракционным пределом. Поэтому для дальнейшего ускорения темпов развития микроэлектроники и повышению интеграции и быстродействия ИМС необходимо создание нового поколения литографических систем. В дипломной работе показано и обосновано, что фотолитографии в экстремальном ультрафиолетовом диапазоне (ЭУФ литография) является наиболее привлекательной проекционной технологией, которая использует излучение с длиной волны 13,5 нм. Именно здесь, в последнее время, достигнут заметный прогресс ...

Содержание



Введение 6
1 Технологии и оборудование для производства ИМС 9
1.1 Исторические тенденции и прогноз развития ИМС. Скрытый кризис микроэлектроники 9
1.2 Особенности технологического процесса изготовления ИМС 16
1.3 Анализ возможностей повышения интеграции ИМС с помощью перехода к новым методам фотолитографии 28
2 ЭУФ литография как альтернатива обеспечения дальнейшего прогресса микроэлектроники 33
2.1 Перспективы создания и применения ЭУФ нанолитографа 33
2.2 Принцип работы ЭУФ литографической системы. Преимущества и недостатки ЭУФ литографии 37
3 Формирование наноструктур на стенде ЭУФ- литографа. Первые результаты 52
4 Безопасность и экологичность проекта 58
4.1 Анализ опасных и вредных факторов 58
4.2 Обеспечение безопасности труда (мероприятия, рекомендации, общие и индивидуальные средства защиты) 60
4.3 Охрана окружающей среды (отходы, загрязнение окружающей среды) 61
4.4 Предупреждение и ликвидация ЧС 62
5 Оценка экономической эффективности создания экспериментального ЭУФ нанолитографа, разработанного в ФТИ им. А.Ф. Иоффе РАН 63
Заключение 73
Список использованных источников 75
Приложение А. Презентация 80

Введение

ВВЕДЕНИЕ

Прогрессивный характер развития современной микроэлектроники связан, прежде всего, с уменьшением характерных размеров элементов интегральных микросхем. Результатом повышения интеграции микросхем является увеличение быстродействия, уменьшение энергопотребления и стоимости производства, повышается миниатюризация электронных схем.
Литография является одним из ключевых технологических процессов современного производства ИМС, вносящих наибольший вклад в производственные затраты. Для производства интегральных схем в основном используется технология проекционной оптической фотолитографии. Технология процесса такова, что изображение фотошаблона (маски) переносится на кремневую пластину, покрытую фоточувствительным слоем (фоторезистом). Обрабатывание засвеченного фоторезиста ведет к ф ормированию заданных элементов интегральных микросхем на подложке. В современном литографическом цикле источником излучения для фотолитографии используются эксимерные АгF лазеры с длиной волны излучения l 193 нм. При помощи такого источника возможно получение интегральных микросхем с характерными размерами элементов до 45-65 нм.
Для дальнейшей миниатюризации элементов интегральных схем можно воспользоваться источником излучения с меньшей длиной волны, например эксимерным лазером с l157 нм. Однако такое излучение будет сильно поглощаться традиционными оптическими материалами и даже газом между элементами оптической системы.
Следующим способом является в использование иммерсионной литографии, когда использование жидкости с большим коэффициентом преломления позволяет добиться увеличения разрешения оптической системы. Так, использование воды с коэффициентом преломления 1,43 приводит к уменьшению характерных размеров элементов интегральных схем до 32-5 нм. Однако, получение нетоксичных жидкостей, плохо поглощающих излучение с l 193 нм, с еще большим коэффициентом преломления, и соответствующего им фоторезиста, встречает значительные трудности.
Для дальнейшего усовершенствования технологий микроэлектроники, приобретает актуальность создание нового поколения промышленных литографических систем. Такими обозримыми альтернативами стали следующие технологии:
• электронно-пучковая проекционная литография (ЭПП)
• ионно-пучковая проекционная литография (ИПП)
• рентгеновская литография (РЛ)
• экстремальная ультрафиолетовая литография (ЭУФ).
Наиболее перспективной из них считается ЭУФ литография, использующая излучение с l 11,8–13,4 нм, по следующим причинам:
• ЭУФ позволит обеспечить большую производительность, чем другие альтернативные технологии, вследствие отсутствия стохастического кулоновского взаимодействия, которое связывает производительность литографической системы и ее разрешение.
• ЭУФ по сравнению с РЛ, является уменьшающей проекционной технологией, что существенно ослабляет требования к маске.
• ЭУФ продолжает технологию проекционной оптической фотолитографии и основывается на ее технологической базе.
Одной из ключевых проблем ЭУФ литографии является создание интенсивного ЭУФ источника с высокой средней мощностью излучения.
Целью дипломного проекта являлся анализ возможностей ЭУФ литографии как альтернативного способа получения изображения элементов микросхемы, способного привести не только к увеличению интеграции ИМС, но к повышению их быстродействия.
Для достижения поставленной цели потребовалось решить следующие основные задачи:
• Проанализировать перспективы технологии создания ИМС. Обосновать существующий «кризис» в микроэлектронике
• Рассмотреть особенности технологии создания ИМС и возможность перехода к новым литографическим технологиям.
• Изучить принцип работы ЭУФ нанолитографа и выявить преимущества и недостатки ЭУФ литографии.
• Сделать обзор первых результатов полученных с помощью существующих нанолитографов.
• Оценить экономическую эффективность создания современного ЭУФ нанолитографа на примере разработок в ФТИ им. А. Ф. Иоффе.
• Проанализировать безопасность и экологичность ЭУФ технологии.

Фрагмент работы для ознакомления

Главные фирмы разработчики и производители оборудования для ЭУФ-нанолитографии – ЭУФ-наносканеров (рисунок 2.1.1), являются фирмы ASML (Нидерланды) и Nikon (Япония). Эти фирмы-производители микроэлектронной техники, используя это литографическое оборудование, уже начали осваивать серийный выпуск СБИС для устройств памяти с технологическим уровнем (минимальный размер элементов) 30 нм [25, 26, 27, 28, 29] и 40 нм для логических устройств [30]. В будущем корпорация Intel попытается освоить 32-нм норму. Активные исследования стремятся приблизить литографические технологии к промышленному производству СБИС уровня 28 нм [31, 32]Рисунок 2.1 – Общая схема ЭУФ- наносканераНа рисунке 2.2 приведена дорожная карта фирмы ASML по созданию ЭУФ-наносканеров. Ввод технологии уровня 16–22 нм и менее предполагается в 2016–2017 годы. Рисунок 2.2 – Программа фирмы ASML по созданию EUV-наносканеровВ России современные производства по микроэлектронике работают в основном на базе зарубежных технологических линий. Компания «Ситроникс» создала производство уровня 180–130 нм, и осваивает производственную линию по технологии близкой к 90 нм. Наращивания темпов импорта в Россию современного оборудования и технологий приведет к сокращению отставания от ведущих в мире производителей изделий микроэлектроники, но не догнать их, так как новейшие поставки электронных технологий и оборудования запрещены для экспорта Конгрессом США. Для ликвидации технологического отставания также необходимо создание собственных производств уровня 32–16 нм. И такой задел в России уже есть. Научно-промышленные центры России уже имеют солидный задел по ЭУФ-литографии и плазменным процессам, созданы образцы технологического оборудования. В рамках российских и европейских научных программ в Институте физики микроструктур РАН (ИФМ РАН) получены значительные научно-практические результаты в области ЭУФ-литографии на l=13,5 нм для реализации наноструктур с минимальными размерами 22 нм и ниже [33, 34, 35, 36, 37, 38]. Произведены исследования с участием ASML, Института спектроскопии РАН (ИС РАН) и ГНЦ РФ «Троицкий институт инновационных и термоядерных исследований» (ТРИНИТИ). В ФТИАН проводятся исследуются процессы травления и осаждения в плазме элементов топологии нанометрового диапазона. Современные реакторы высокоплотной плазмы способны обеспечить на пластинах диаметром 200 мм однородные получаемые элементы и их селективность по размерам. Разрабатываются также методы контроля плазмохимических процессов, основанные на оригинальных зондовых методиках [39]. Есть большой научный задел при разработках проекционных объективов и отдельных элементов ЭУФ-нанолитографа в Физико-техническом институте им. А.Ф. Иоффе РАН (ФТИ РАН) [40]. В процессе данной дипломной работы мы рассмотрим основные принципы устройства и работы, определим основные преимущества и недостатки ЭУФ нанолитографов, разрабатываемых группами российских ученых в ИФМ РАН, а также в ФТИ им. А.Ф. Иоффе РАН. Попытаемся оценить экономическую эффективность создания такого нанолитографа, на основании данных приведенных группой разработчиков.2.2 Принцип работы ЭУФ литографической системы. Преимущества и недостатки ЭУФ литографии.Опишем принцип работы ЭУФ литографической системы, упрощенная схема которой представлена на рисунке 2.3. Длина волны излучения, применяемая в экстремальной литографии, примерно равна 13 нм. Электромагнитное излучение такой длины волны сильно поглощается не только всеми известными твердыми средами, но и воздухом. По этой причине распространение излучения возможно только в вакууме, а для формирования изображения можно воспользоваться только отражательной оптикой. Рисунок 2.3 – Принципиальная схема установки для ЭУФ литографииУстановка содержит в себе одну или несколько вакуумных камер, внутри которых находится источник импульсного излучения с длиной волны 13,4 нм на основе лазерной плазмы, присутствует также система зеркал (так называемый конденсор) для формировки луча, есть наличие шаблона отражательного типа, а также система зеркал для формировки уменьшенного изображения рисунка шаблона на поверхности полупроводниковой пластины. Оптическая система (создание зеркал) является основным и главным узлом ЭУФ литографа можно. Такие зеркала должны иметь достаточно высокий коэффициент отражения в ЭУФ и мягкой рентгеновской части электромагнитного излучения. Чтобы этого достичь применяют многослойное брэгговске покрытия на атомарно-гладкой поверхности массивной подложки с заданной кривизной. Для иллюстрации данного факта на рисунке 2.4 приведена типичная зависимость коэффициента отражения от длины волны для одной из наиболее эффективных систем.Рисунок 2.4 – Спектр отражения «брэгговского» зеркала на примере многослойной системы Mo−Si.Многослойные «брэгговские» зеркала Mo–Si к настоящему дают коэффициент отражения, близкий к R = 70% при 13.4 нм (теоретическое R max ≈ 74−78%). Есть существование и других эффектвных решений , способных обеспечить R ≥ 60%, но все они относятся к диапазону длин волн 10−15 nm с абсолютным теоретическим максимумом в районе 13.4 нм [41,42]. Данный фактявляется основной причиной сосредоточения разработок на использовании длины волны 13,4 нм. Получение максимального разрешения в зависимости от длины волны или энергии кванта излучения можно увидеть на рисунке 2.5. Из рисунка понятно, что наиболее привлекательным для этих целей является диапазон экстремально дальнего вакуумного ультрафиолета, а также наиболее длинноволновая часть мягкого рентгеновского диапазона. Прямая 1 определяет контактную фотолитографию— до рентгеновского диапазона включительно, здесь amin = (2λδ)1/2 , штриховая линия — нулевой зазор δ = 0, сплошная — зазор δ = 10 микрон. Прямая 2 относится к проекционной фотолитографии при различных значениях числовой апертуры объектива, от NA = 1.0 до 0.6, здесь amin = kλ/NA. Прямые 3 связана с ограничением из за рождения фотоэлектронов, «размазывающих» изображение, при различных плотностях материала. Наконец, прямая 4 — ограничение, связанное с обратнорассеянными электронами (для электронолитографии). Таким образом, только ЭУФ или мягкорентгеновская проекционная фотолитография с брэгговской оптикой, позволяющей уменьшить изображение, радикально решают задачи нанолитографии, но минимальные потери (не превышающие 30−40%) на неполное отражение могут быть получены только в диапазоне 13.4 ± 2 nm. 1 — контактная фотолитография до рентгеновского диапазона включительно, 2 — проекционная фотолитография при различных значениях числовой апертуры объектива; 3 — ограничение, связанное с рождением фотоэлектронов; 4 — ограничение, связанное с обратно рассеянными электронами (для электронолитографии).Диапазоны: I — инфракрасный, II — ультрафиолетовый, III — вакуумный ультрафиолет, IV — дальний и экстремальный вакуумный ультрафиолет, V — мягкое рентгеновское излучение, VI — жесткое рентгеновское излучение.Рисунок 2.5 – Теоретическая зависимость предельно разрешаемой полосы для различных методов литографиив зависимости от энергии фотона (электрона).При создании оптической системы и шаблона ориентируются на принципы отражательной рентгеновской оптики с многослойными брэгговскими покрытиями. Как было сказано выше такие покрытия наносятся на атомарно-гладкие поверхности: плоские (ЭУФ шаблон), или расчетной кривизны (объектив и конденсор). Рисунок, который увеличинается на величну кратности объектива в М раз, отпечатывается (гравируется) в поглощающем слое на поверхности шаблона традиционными методами субмикронной фотолитографии или электронолитографии остросфокусированным пучком (в этом случае в связи с многократным применением шаблона длительность его формирования не столь критична). Далее в процессе экспонирования поверхность подложки покрывается покрывается слоем ЭУФ резиста. Принципиальная схема нанолитографа состоит из четырех главных блоков: источник ЭУФ излучения. Его основная функция освещать маску; узел маски. Несет в себе в увеличенное изображение слоя чипа;оптическая система. Формируюет изображение; узел образца с нанесенным поверх образца ЭУФ фоторезистом. Рассмотрим каждый из блоков более детально.Источник ЭУФ излучения для нанолитографииМногие первоначальные работы сосредоточены в основном на таком альтренативном источнике ЭУФ, как лазерная плазма. Лазерная плазма,одна из эффективных источников ЭУФ и мягкого рентгена. Для возбуждения плазмы необходима импульсная радиация первичного лазерного источника при ее взаимодействии с различными твердыми, жидкими или газообразными объектами. Однако внедрение нанолитографа в промышленность ставит трудно выполнимые задачи высокой производительности. В пример можно поставить В качестве примера можно ориентироваться на производительность сканер-степпера производства ASML TWINSCAN AТ : 1200 B (рисунок 2.6), имеющем предельное разрешение 0.08 µm. Производительность такой установки составляет 103 топологических слоя кремниевых пластин диаметром 300 mm в 1 час при 109 экспозициях по чипам площадью в 26 × 33 mm2 [43]. Рисунок 2.6 – Внешний вид одного из степп-сканеров фирмы ASML TWINSCAN AТОднако для высокой производительности необходим и высокомощный источник. Здесь для этой цели лазер-плазменный источник ЭУФ уже не подходит. Данная проблема привела к поиску новых решений. Одним из таких решений стал возврат к исследованию известных разрядных методов генерации плотной высокотемпературной плазмы. Данные процессы исследовались ранее с целью создания управляемой термоядерной реакции, но оказались не годными для реализации сверхвысоких температур. Среди них Z-пинч, 2-пинч разряды, «плазменный фокус», капиллярный разряд, вакуумная дуга и различные их комбинации, в том числе и с лазерной плазмой. Более того, есть перспектива создания «рентгеновского лазера», который бы генерировал ЭУФ излучение на длине волны 13.4 nm. Однако на сегодняшний день лазерная плазма была и остается одним из наиболее удобных и эффективных методов генерации ЭУФ излучения. Собственно источником здесь является ∼ 50−100-микронное, быстро (со скоростью ∼ 106 cm/s) расширяющееся, ионизированное до 20 крат облако вещества мишени в плазменном состоянии при температуре от 0.12 до 1.2 миллиона градусов, соответствующей 10−100 eV. Такой квазиточечный источник излучения является удобным для разработки и оптимизации всей оптической системы. В первую очередь исследовались «тяжелые» металлы, способные обеспечить высокую эффективность преобразования (порядка 1% на 2π стерадиан в необходимой для работы нанолитографа полосе 2% от рабочей длины волны 13,4 нм). Исследовалась в основном непрерывная часть спектров излучения. Однако было трудно преодолеть такое препятствие, как образование наряду с излучением большого количества микроосколков, которые загрязняли оптическую систему и быстро выводили ее из строя. Особенно опасным явилось их попадание на шаблон, что приводит к воспроизводству брака структур в ИС. Применение многочисленных изобретенных специальных приемов защиты оптического тракта не привели к радикальному решению проблемы. Это вынуждало в дальнейшем сосредоточиться на сверхзвуковых газовых струях тяжелого инертного газа — ксенона (Xe). Именно используя лазерную плазму Xe построены источники излучения в опытных версиях (американских и европейской) ЭУФ нанолитографов. Использование в качестве мишени инертного газа в некотором смысле решает проблему загрязнений. Расчеты показали, что для эффективной конверсии на 13.4 нм выгоднее вещество с атомным номером 50 (Sn); с увеличением атомного числа (Sb, I) длина волны излучения сдвигается в коротковолновую сторону, и наоборот (In, Cd) (рисунок 2.7). На ряду с этим определенный интерес для эмиссии 13.4 нм представляют Li, F и Sc, а также O, имеющие подходящие линии дискретного спектра в сильно ионизованном состоянии. Пристальное внимание в настоящее время уделяется также олову в качестве среды формирования плазмы, генерирующей ЭУФ излучение. Первые же исследования оказались обнадеживающими. Достигнут коэффициент конверсии не менее 2%, оценки обещают 3% и более. Используя Z-пинч разряд и его модификации, удается получить оптическую мощность порядка 10 W в промежуточном фокусе, на маске [45,46]. Рисунок 2.7 – Спектры излучения многократно Ионизированных Xe, In, Sn, I, Li [44].Преимуществом разрядного источника является еще и отсутствие мощного первичного лазерного источника возбуждения плазмы. Однако и здесь приходится столкнуться с проблемой загрязнения оптического тракта, решать которую в любом случае необходимо. Первичный источник и связанная с ним проблема сводилась к использованию твердотельных лазеров с диодной накачкой. Данный лазер обладает многими преимуществами, стабильностью, долговечностью, высоким качеством оптического пучка при высоком общем коэффициенте полезного действия. Использование в них полупроводниковых лазеров для накачки реализует высокие выходные оптические мощности вплоть до 5−20 kW при импульсной работе на частотах от 0.5 до 15 kHz. Большая длина волны излучения (1.06 µm) может рассматриваться скорее как некоторое преимущество, так как способствует минимизации оптимальной плотности плазмы и уменьшению загрязнений [47,48]. Эксимерные лазеры преимущественно на KrF и KrCl с длиной волны 248 и 308 nm могли бы стать альтернативными варантами, поскольку в них легче достигаются высокие значения энергии в импульсе. Однако здесь труднее рассчитывать на работу при высоких средних мощностях в квазистационарном режиме. В любом случае первичный источник представляет собой чрезвычайно сложное и ответственное сооружение, выполняемое на пределе технических возможностей и задающее в конечном итоге производительность всего нанолитографа. Оптическая система ЭУФ нанолитограф имеет ряд отличительных особенностей, происходящих как от особенностей зеркальной системы вообще, так и от особенностей «брэгговских» отражательных покрытий.В зеркальной оптической системе отсутствуют диспергирующие элементы, вследствие чего ход лучей не зависит от длины волны и может поверяться светом любого диапазона электромагнитных волн, имеющим существенный коэффициент отражения от поверхностей зеркал. Зеркальная оптическая система не может иметь числовую апертуру, близкую к единице, так как предпоследнее зеркало должно для этого находиться точно в фокусе последнего зеркала, формирующего изображение, т. е. в поле изображения. Максимально возможные числовые апертуры достигаются при условии минимального поля изображения. «Брэгговские» покрытия осуществляют функции интерференционного фильтра на длину волны λ. Для всей оптической системы покрытия должны совпадать по длине волны максимума отражения таким образом, чтобы не выходить за пределы дифракционной зоны lλ. Приемлемыми могут считаться потери, если полоса разброса δλmax < lλ таким образом, что δλmax /λ ≤ 2%. «Брэгговские» покрытия рассчитываются из условий нормального падения. Существует максимальный угол допустимого отклонения от π/2 для углов падения и отражения, если многослойное покрытие однородно по периоду в пределах всего зеркала. Это обстоятельство дополнительно ограничивает предельно достижимую числовую апертуру изображающего ЭУФ объектива. Конденсор является отдельным оптическим блоком нанолитографа. Именно конденсор располагается в непосредственном контакте с конвертором, и это положение требует выполнения некоторых условий — необходимы изоляция от остальных частей, снабжение средствами интенсивной откачки и удаления материальных продуктов конверсии. Таким образом, конструкция конденсора находится в сильной зависимости от выбора метода конверсии. С другой стороны, оптическая система конденсора в случае лазерплазменного конвертора должна быть построена на таких же «брэгговских» зеркалах, что и остальная система (требуется весьма строгое совпадение центров полос отражения по длине волны), и является одной из главных частей всей оптической схемы, включающей изображающий объектив и маску. Поэтому оптимизации подлежит вся система в целом — конденсор, маска и объектив.Использование системы многослойных «брэгговских» зеркал приводит к ограничению полосы, внутри которой должны находиться максимумы отражения всех зеркал системы, шириной в 0,27 нм, т. е. δλmax /λ ≈ 2% при λ = 13.4 нм (это не есть ширина спектральной полосы на половине максимума, берется только та часть полосы, в которой не происходит существенного падения коэффициента отражения). Наиболее важным узлом здесь является первое, коллекторное зеркало, находящееся вблизи от точки конверсии и, таким образом, больше всех подвержено деградации в процессе работы. Основной целью является продление срока службы этого зеркала до 10 тыс. часов непрерывной работы при понижении отражательной способности не более чем на 10% [49]. Однако чем ближе располагается коллекторное зеркало к конвертору и чем его радиус больше, тем больше пространственный угол сбора ЭУФ излучения и эффективность источника. Другие конструкции конденсора, использующие, в частности, зеркала скользящего падения, требуются в случае создания разрядного источника. Принципиально новое решение конденсора может связываться с возможным созданием рентгеновского лазера для соответствующих длин волн. Так как потери на неполное отражение ЭУФ «брэгговских» зеркал достаточно велики, естественно обходятся минимальным количеством зеркал, как в конденсоре, так и в изображающем объективе. Двухзеркальный объектив, состоящий из большого вогнутого зеркала с центральным отверстием и малого выпуклого, называется «шварцшильдовским» и находит применение в рентгеновской астрономии. Такой объектив изначально применялся в Американском проекте нанолитографии и имел числовую апертуру NA = 0,06−0,09 [49]. Такое низкое значение NA оказалось необходимой платой за относительно большое поле изображения. При этом реальное изображение являлось узкой дугой окружности шириной менее 1 миллиметра. Чтобы экспонировать весь топологический слой кристалла СБИС, необходимо сканировать в пределах чипа по поверхности полупроводниковой пластины, так что такой нанолитограф должен будет являться «степпер-сканером». Данный принцип сохранился в следующих более усовершенствованных моделях. Очевидно, что схема «шварцшильдовского» объектива на двух зеркалах (рисунок 2.8, a) не смогла удовлетворить требованиям по разрешающей способности и (или) качеству изображения. Оказалось что оптическая разрешающая способность по Рэлею не могла здесь превысить amin = 90−100 nm. Отметим, однако, что выполнение критерия Рэлея, точно характеризующего свойства светового поля изображения, не является достаточным условием для высококачественной проявки рисунка. Если не применить меры по улучшению качества изображения, например фазосдвигающие маски и (или) фоторезисты с высоким контрастом передачи изображения, можно добиться воспроизведения только 2−3 «длин» Рэлея amin. Если принебречь размером поля изображения, числовая апертура может быть существенно увеличена при применении модифицированной схемы «шварцшильдовского» объектива. Так, спроектированы двухзеркальные объективы с NA = 0,3 (54,55), разработан и находится в изготовлении объектив с NA = 0,36 (рисунок 2.8, b). Однако поле изображения здесь не превышает 1 мм2 . Четырехзеркальные системы (рисунок 2.8, c) делают ситуацию лучше, удается немного увеличить NA, сохраняя в режиме сканера-степпера значительное поле изображения. Но в промышленных масштабах применения достигаемая числовая апертура не превышает NA = 0,1−0,14. В то же время, если жертвовать размером поля (вплоть до 0,5 × 0,5 mm), удалось разработать уникальный четырехзеркальный объектив с NA ≥ 0,485 (рисунок 2.8, d). Такой объектив уже позволяет реализовать a min = 10 nm только за счет светового поля, без специальных шаблона и резиста[53]. a — низкоапертурный двухзеркальный «шварцшильдовский»,b — двухзеркальный высокоапертурный [51,52],c — четырехзеркальный низкоапертурный промышленного типа,d — четырехзеркальный высокоапертурный, но с малым полем изображения.Рисунок 2.

Список литературы

Wolf S. Silicon Processing for the VLSI Era. Vol. 2 – Process Integration.– Lattice Press: Sunset Beach, CA, USA, 1990.– 752 p.
2. Ning T.H. CMOS in the New Millennium. – Semiconductor Fabtech. – 13th Edition, ICG Publishing Ltd., London, UK, 2001, p. 287–295.
3. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.
4. The National Technology Roadmap for Semiconductors, 1997 ed.–Semiconductor Industry Association, San Jose, CA.
5. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.
6. The National Technology Roadmap for Semiconductors, 1997 ed.–Semiconductor Industry Association, San Jose, CA.
7. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.
8. Solid State Technology, April, 2001, p.20.
9. S.M.Sze. VLSI technology Owerviews and Trends. In: Proc. of the 14_th Conf. on Solid State Devices, Tokyo,1982; Jap. J. of Appl. Phys., V.22 (1983) Suppl.22_1, pp.3_10
10. Kawamato E., KimuraK., Nakazato J. et al. Yhe Outlook for Semiconductor Processes and Manufacturing Technologies in the 0.1-mm Age.–Hitachi Review, 1999, v.48, N6, p.334–339.
11. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.
12. Sytsma A., Loan H., Moers M. et al. Improved Imaging Metrology Needed for Advanced Lithogaphy.– Semiconductor International, April, 2001,90.
13. Derbyshire K. Next-Generation Lithography: Beyond 100 nm. – Semiconductor Magazine, Sept., 2001, p.43–45.
14. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.
15. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.
16. Sharan A., Ballingall J. Speeding the Transition to Sub-wavelength Silicon –Semiconductor Magazine, July, 2001, p.87–98.
17. Derbyshire K. Next-Generation Lithography: Beyond 100 nm. –Semiconductor Magazine, Sept., 2001, p.43–45.
18. Seidel P., Canning J., Mackay S. et al. Next Generation Advanced Lithography –Semiconductor Fabtech–7-th Edition.– ICG Publishing Ltd., London, UK, 1998, p.147–171.
19. Ware P. Next Generation Lithography: What’s Really Next?– New Direction in Lithography, 1999.– FSI International, Inc., p.16–22.
20. Haavind R. Economic will dictate the Future.– Solid State Technology, Aug., 2001, p.14.
21. Seidel P., Canning J., Mackay S. et al. Next Generation Advanced Lithography –Semiconductor Fabtech–7-th Edition.– ICG Publishing Ltd., London, UK, 1998, p.147–171.
22. Ware P. Next Generation Lithography: What’s Really Next– New Direction in Lithography, 1999.– FSI International, Inc., p.16–22.
23. Haavind R. Economic will dictate the Future.– Solid State Technology, Aug., 2001, p.14
24. Next-generation litho Progress, Innovative Technologies at MRS. – Solid State Technology, Feb., 2001, p.32.
25. Roush W. EUV Edging Out Revals as Next Generation IC Fab Tool.– IEEE Spectrum, June, 2001, p.25–26.
26. Colburn M., Bailey T., Choi B.J. et al. Development and Advanteges of Step-and-Flash Lithography.–Solid State Technology, July, 2001, p.67–68.
27. Braun A. Semiconductor International, 2.11.2009
28. http://www. intel.com.
29. Deffree S. Electronic News, 10.27.2008, www.smics.com.
30. Wilson R. Samsung intros 32Gbyte card using 30nm NAND chips.http:// www.electronicsweekly.com .
31. http://www.edn.com.
32. http://www.electronicsweekly.com.
33. http://www.globalspec.com/FeaturedProducts
34. http://www.semiconductor.net
35. Гапонов С.В. Работы в области проекционной EUV- литографии в рамках российской программы. // С.В.Гапонов Материалы симпозиума «Нанофизика и наноэлектроника», 2005. – Нижний Новгород: ИФМ РАН , 2005, Т. 10.
36. Chkhalo N.I. et al. Manufacturing and investigation of objective lens for ultrahigh resolution lithography facilities. – Proc.SPIE, 2008, v.7025. 11.
37. Bibishkin, M. S. et al. Multilayer Zr/Si filters for EUV lithography and for radiation source metrology. – Proc. SPIE, 2008, v.7025.
38. Chkhalo, N. I. et al. Correction of the EUV mirror substrate shape by ion beam. – Proc. SPIE, 2008, v.7025. 13.
39. Салащенко Н.Н. Коротковолновая проекционная литография.– Вестник РАН 2008, т.78, №5.
40. Клюенков Е.Б. Работы по созданию и аттестации рентгенооптических элементов и систем сверхвысоко- го разрешения в ИФМ РАН. // Е.Б.Клюенков Изв.РАН . Сер. физическая. 2009. Т.1.
41. Аверкин С.Т. Разработка низкотемпературных плазмохимических процессов и серии плазменных установок для микро- и нанотехнологий. // С.Т.Аверкин. Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, Т. 18.
42. Сейсян Р. Нанолитография СБИС в экстремально дальнем вакуумном ультрафиолете (Обзор). // Р.Сейсян. Журнал технической физики, 2005, Т. 75.
43. Kim D., Cha D., Lee S. // Jap. J. Appl. Phys. 1998. Vol. 37. P. 2728.
44. Салащенко Н.Н. Работы в области микроэлектроники. // Н.Н.Салащенко. Материалы Всероссийского совещания «Рентгеновская отпика». Нижний Новгород, 1998. С. 53–57.
45. http://www.asml.com
46. O’Sullivan G., Dunne P. // Proc. EUVL Source Workshop. Dallas, 2002.
47. The Proceedings of EUVL Source Workshop. Dallas, 2002.
48. Борисов В.М. Работы в области нанотехнологий. // В.М. Борисов. Материалы Всероссийского совещания „Рентгеновская отпика“. Нижний Новгород, 2003. С. 124–130
49. The Proceedings of EUVL Source Workshop. Dallas, 2002.
50. O’Sullivan G., Dunne P. // Proc. EUVL Source Workshop. Dallas, 2002.
51. The Proceedings of EUVL Source Workshop. Dallas, 2002.
52. Tichenor D.A., Cubiak G.D., Malinovski M.E., Stulen R.H. et al. // Proc. SPIE, Development of a Laboratory ExtremeUltraviolet Lithography Tool. Vol. 2194. P. 95–105.
53. Horiike Y. Доклад о новой Японской программе работ по EUVL, Международный симпозиум по нанотехнологиям. СПб.: ФТИ, 2002.
54. Dinger U., Eisert F., Lasser H. et al. // SPIE PRO. 2000. Vol 4.0.
55. Bjorkholm J.E. // Intel Technology Journal. Q3’98. P. 1–8.
56. Horiike Y. Доклад о новой Японской программе работ по EUVL, Международный симпозиум по нанотехнологиям. СПб.: ФТИ, 2002.
57. Dinger U., Eisert F., Lasser H. et al. // SPIE PRO. 2000. Vol 4.0.]
58. Ulrich W., Beiersdorfer S., Mann H.-J. // SPIE UC. 2000. Vol. 4.1.
59. Bjorkholm J.E. // Intel Technology Journal. Q3’98. P. 1–8.
60. Matsuzawa N., Irie S., Yano E., Okazaki S., Ishitani A. // Theoretical Calculations of Photoabsorption of Polymers in the EUV (Extreme Ultraviolet) Region. SPIE Proc. 2001. Vol. 4343. P. 278–284.
61. Бараш Е.Г., Кабин А.Ю., Любин В.М., Сейсян Р.П. // ЖТФ. 1992. Т. 62. Вып. 3. С. 106–113.
62. Wagner Ch, Harned N Nature Photon. 4 24 (2010)
63. Wood O et al. Proc. SPIE 7271 727104 (2009) 97. Tawarayama K et al. Jpn. J. Appl. Phys. 48 06FA02 (2009)
64. Wood O et al. Proc. SPIE 7271 727104 (2009)
65. http://www.electroiq.com/articles /photolithography-microsteppers.html
66. Волгунов Д.Г. и др. Изв. РАН. Cep. физ. 75 54(2011)
67. Зуев С Ю И Др. Изв. РАН. Сер. физ. 75 61 (2011)
68. Tsarfati T ет а1. Thin Solid Films 518 1365 (2009) 461 (2009)
69. ASML Images, Spring Edition 2008, p.8-9
Очень похожие работы
Пожалуйста, внимательно изучайте содержание и фрагменты работы. Деньги за приобретённые готовые работы по причине несоответствия данной работы вашим требованиям или её уникальности не возвращаются.
* Категория работы носит оценочный характер в соответствии с качественными и количественными параметрами предоставляемого материала. Данный материал ни целиком, ни любая из его частей не является готовым научным трудом, выпускной квалификационной работой, научным докладом или иной работой, предусмотренной государственной системой научной аттестации или необходимой для прохождения промежуточной или итоговой аттестации. Данный материал представляет собой субъективный результат обработки, структурирования и форматирования собранной его автором информации и предназначен, прежде всего, для использования в качестве источника для самостоятельной подготовки работы указанной тематики.
bmt: 0.00553
© Рефератбанк, 2002 - 2024