Вход

Совершенствование элементов технологии производства микросхем методом литографии с целью улучшения эксплуатационных свойств

Рекомендуемая категория для самостоятельной подготовки:
Дипломная работа*
Код 102909
Дата создания 2016
Страниц 80
Источников 69
Мы сможем обработать ваш заказ (!) 22 апреля в 12:00 [мск]
Файлы будут доступны для скачивания только после обработки заказа.
7 280руб.
КУПИТЬ

Содержание



Введение 6
1 Технологии и оборудование для производства ИМС 9
1.1 Исторические тенденции и прогноз развития ИМС. Скрытый кризис микроэлектроники 9
1.2 Особенности технологического процесса изготовления ИМС 16
1.3 Анализ возможностей повышения интеграции ИМС с помощью перехода к новым методам фотолитографии 28
2 ЭУФ литография как альтернатива обеспечения дальнейшего прогресса микроэлектроники 33
2.1 Перспективы создания и применения ЭУФ нанолитографа 33
2.2 Принцип работы ЭУФ литографической системы. Преимущества и недостатки ЭУФ литографии 37
3 Формирование наноструктур на стенде ЭУФ- литографа. Первые результаты 52
4 Безопасность и экологичность проекта 58
4.1 Анализ опасных и вредных факторов 58
4.2 Обеспечение безопасности труда (мероприятия, рекомендации, общие и индивидуальные средства защиты) 60
4.3 Охрана окружающей среды (отходы, загрязнение окружающей среды) 61
4.4 Предупреждение и ликвидация ЧС 62
5 Оценка экономической эффективности создания экспериментального ЭУФ нанолитографа, разработанного в ФТИ им. А.Ф. Иоффе РАН 63
Заключение 73
Список использованных источников 75
Приложение А. Презентация 80

Фрагмент работы для ознакомления

Нерешённой является проблема достижения высоких коэффициентов отражения лантансодержащих многослойных интерференционных структур [66]. Рис. 3.4 Тестовая маска и первое изображение линий полученные на нанолитографе (ИФМ РАН)4 Безопасность и экологичность проекта4.1 Анализ опасных и вредных факторовОсновными опасными и вредными производственными факторами при работе на ЭУФ нанолитографе могут явиться:электрический ток;высокочастотное импульсное лазерное излучениеТехнологическое оборудование, ЭУФ литографа имеет электрические приводы. Обслуживание такого электрооборудования связано с опасностью поражения электрическим током. Степень безопасности обслуживания электрических узлов установки зависит от условий эксплуатации и характера среды помещения, в которых установлено электрооборудование. Помещение, содержащее ЭУФ литограф относится к классу помещений без повышенной опасности. Такое помещение обладает следующими свойствами:сухиене жаркиес токонепроводящим полом с отсутствием токопроводящей пылис небольшим количеством металлических предметов, конструкций, машин.Поэтому при работе в помещении с ЭУФ литографом квалифицированный персонал должен руководствоваться стандартной инструкцией по технике безопасности при эксплуатации электроустановок до 1000 В. Однако эксплуатация электроустановок любого напряжения относится к работам, проводимым в условиях повышенной опасности. Поэтому как к самим установкам, так и к персоналу, эксплуатирующему их, предъявляются специальные требования. Ответственным с правом обслуживания установок до 1000 В может быть назначено лицо, которому по результатам проверки знаний присваивается четвертая квалификационная группа допуска к эксплуатации электроустановок.При поражении электрическим током, могут возникнуть термические ожоги разных частей тела вплоть до биологической смерти человека. Термическое действие характеризуется нагревом тканей (ожогов), разложением органических жидкостей, в том числе разложение крови, биологическое действие электрического тока проявляется в нарушении биоэлектрических процессов и сопровождается раздражением, возбуждением и параличом нервных тканей и сокращением мышц.В установке ЭУФ нанолитографа используется импульсное лазерное излучение. Поэтому при эксплуатации данной установки персонал может подвергаться воздействию ряда опасных и вредных факторов со стороны лазерного излучения. Основную опасность представляет прямое, рассеянное и отраженное излучение. Из-за большой интенсивности прямого лазерного излучения и малой расходимости луча достигается высокая плотность излучения (1011 – 1014 Вт/см2), в то время как для испарения самых твёрдых материалов достаточно 109 Вт/см2.При эксплуатации установок содержащих лазеры наблюдаются сопутствующие опасные и вредные факторы: световое излучение от импульсных ламп накачки, ионизирующее излучение; шум и вибрация; электромагнитные ВИ и СВЧ поля; инфракрасное излучение и др.Интенсивное облучение кожи лазерным излучением может вызвать в ней различные изменения от легкого покраснения до поверхностного обугливания. Кроме того, возможны повреждения внутренних тканей и органов. Наиболее чувствительным органом к лазерному излучению являются глаза, поэтому даже при незначительных интенсивностях излучения попадание лазерного луча в глаза опасно.4.2 Обеспечение безопасности труда (мероприятия, рекомендации, общие и индивидуальные средства защиты)При эксплуатации и работе на ЭУФ нанолитографе следует соблюдать общие требования безопастности труда. Приведем некоторые из них.При подготовке рабочего места следует убрать предметы и материалы мешающие работе. Аппаратуру и инструменты расположить так, чтобы обращение с ними не вызывало лишней суетливости.К обслуживанию электротехнических установок и работе с машинами и механизмами с электроприводом допускаются лица, имеющие квалификационную группу допуска.Проверить исправность вентиляции, инструмента, приспособлений, фотолитографической и осветительной аппаратуры: электропроводку, рубильники, выключатели.В ЭУФ лаборатории не должно быть захламленности.Не работать без спецодежды. Использовать халаты, перчатки, защитные очки. На рисунке 4.1 изображен специальный костюм, пронизанный углеродной нитью и обладающий пылеотталкивающими свойствами.Рисунок 4.1 Сотрудники чистой комнаты в «непылящей» одеждеПри пользовании осветительными и другими электрическими приборами следить за их исправностью.Для обеспечения безопасности людей должны быт сооружены заземляющие устройства. К ним следует подключить металлические части электроустановок корпуса электрооборудования, которые вследствие нарушения изоляции могут оказаться под напряжением.Соблюдать меры лазерной безопасности и санитарных норм. Использовать Коллективные и индивидуальные средства защиты от лазерного излучения. К коллективным относят телевизионные средства наблюдения за ходом процесса; защитные экраны, системы блокировки и сигнализации, ограждение лазерной опасной зоны. Для снижения отражаемого излучения на оптических элементах устанавливают светофильтры, а около мишени защитную диафрагму и огнезащитный экран. В качестве индивидуальных средств защиты применяют специальные противолазерные очки, щитки, маски, специальные халаты, перчатки.4.3 Охрана окружающей среды (отходы, загрязнение окружающей среды)ЭУФ литографический процесс считается наукоёмким производством. Тенденция к уменьшению размеров элементов на ИМС приводит к тому, что на одной и той же пластине будет большее чипов. То есть на производство каждого кристаллика, который пойдёт на интегральную схему сокращается потребление электроэнергии, деионизованной воды (обработанной кислотами), используемой при литографических процессах, и других ресурсов в несколько раз. Тенденция к сокращению энергопотребления на каждый элемент ИМС получила название «зеленая электроника».При утилизации ИМС, полученных методо ЭУФ литографии проблем не возникает. При производстве микросхем на нанолитографе, исключются вещества, запрещенных к использованию в Евросоюзе. Это тяжёлые металлы (свинец, шестивалентный хром, ртуть, кадмий) и два органических вещества (бромиды). 4.4 Предупреждение и ликвидация ЧСПожар – это неконтролируемое горение, в результате которого бесполезно и безвозвратно уничтожаются или повреждаются материальные ценности, создается опасность для жизни и здоровья людей. В процессе горения, выделяется ядовитый дым, который приводит к опасным отравлениям. Для предупреждения случаев пожаров, необходимо соблюдать правила пожарной безопасности. Противопожарная защита обеспечивается: правильным выбором степени огнестойкости объекта; ограничением распространения огня в случае возникновения очага пожара; применением систем противодымной защиты; обеспечением безопасной эвакуации людей; применением средств пожарной сигнализации, извещения и пожаротушения; организацией пожарной охраны. Наиболее частыми причинами пожаров являются нарушения правил пожарной безопасности и технологических процессов, неправильная эксплуатация электросети и оборудования. При возникновении пожара в лаборатории необходимо выключить оборудование и сообщить мастеру о случившемся. Принять меры по ликвидации пожара или если это невозможно, то выйти из помещения и вызвать пожарную службу. Электрооборудование необходимо тушить углекислотным, порошковым огнетушителями, а также песком. 5 Оценка экономической эффективности создания экспериментального ЭУФ нанолитографа, разработанного в ФТИ им. А.Ф. Иоффе РАНПоказатель, оценивающий рыночные требования и не зависящий от размера и функциональной плотности ИМС называется возможной удельной стоимостью в пересчете на функцию. Рассчитывается этот показатель на базе стоимости готовых ИМС, определяемой на основе тенденций изменения средних продажных цен, за вычетом предполагаемого размера прибыли. Чтобы достичь высокой степени конкурентоспособности на рынке ИМС, должны выполняться следующие условия:выполнение закона Мураобеспечение ежегодного снижения удельной стоимости в пересчете на число выполняемых функций на 29% Чтобы достичь этих параметров необходимо совершенствование систем проектирования, производства, корпусирования и тестирования ИМС. Также, нужно правильно оценить жизненный цикл ИМС, состоящий из следующих этапов:Выход на рынок. В этом случае ведущие производители могут поставлять ключевым заказчикам для опробования немного (103–105) образцов изделий, изготовленных с помощью аттестованных (квалифицированных) промышленных процессов и оборудования; Освоение и расширение производства. Поставка на рынок больших партий изделий; Пик производства. На смену изделиям с большим объемом производства приходит следующее, экономически более эффективное в пересчете на функцию (бит, транзистор) поколение изделий. Вопрос о предпочтительном методе литографии перешел из плоскости возможности технической реализации в плоскость экономической целесообразности. Результатом анализа предпочтительных литографических технологий стал перевес в пользу оптической литографии для 45−32 nm и в пользу ЭУФ начиная с 22 nm и ниже. Ключевыми фактором и принимающимися во внимание являются, стоимость и производительность оборудования, материалов, комплекта масок (таблица 4.1). Таблица 4.1 – Стоимость и производительность литографических технологийПараметр45 нм22 нмArF(иммерсия), однократнаяэкспозицияArF (иммерсия),двойноепаттернированиеЭУФ литографияНаноимпринтлитографияСтоимость литографа, mln. $405289Производительность пластин, h−1200200100Стоимость литографа в пересчете на 1 пластину0.30.30.90.3Стоимость маски, $2000001176000252000622000Таким образом, создание ЭУФ нанолитографов и совершенствование такого типа литографии перспективно и с экономической точки зрения. В качестве примера рассмотрим разработку ЭУФ нанолиографа российскими учеными в ФТИ им. А.Ф. Иоффе. Данный нанолитограф находится на стадии разработки и доработки и готовится к начальному этапу экономического развития (к выходу на рынок). Рассмотрим некоторые технологические особенности этого устройства, проанализируем рынок сбыта и попытаемся оценить экономическую эффективность его создания.Описание продукцииРазрабатываемый учеными петербургского исследовательского института ЭУФ нанолитограф, способен создавать на поверхности полупроводниковой пластины планарные структуры с размерами 10-30нм. Рассмотрим основные параметры нанолитографа:Рабочее разрешение 10-30нм. Представляет собой конгломерат объектива с центральным экранированием и находящегося в разработке высококонтрастного нелинейного фоторезиста.Изображающий объектив способен обеспечить разрешающую способность 15-40 нм в поле изображения 0,82х0,82мм2. Коэффициент редукции изображающего объектива 10:1. Размер полупроводниковой пластины в диаметре - до 150 мм. Точность фокусировки системы интерференционно-дифракционного сопряжения – 10 нм. Точность совмещения топологических рисунков 10– 20 нм. Кварцевая маска (ретикл) может содержать одновременно 9 рисунков топологических слоёв при десятикратном увеличении (8,2х8,2мм2), которые могут пропечатываться без смены маски. Источник ЭУФ-излучения работает на парах инертного газа ксенона или парах олова. Осветитель способен обеспечить (1-3) Вт спектрально чистого излучения в фокусе, подходящего для освещения фотомаски. Излучение импульсное длительностью 20 нс с частотой повторения 1000-2000 Гц. Амплитуда энергии излучения в каждом импульсе в «промежуточном фокусе» не менее 40 мкДж. Блок фильтрации обеспечивает спектральную очистку излучения при пропускании порядка 50%. Пьезопозиционеры образца и маски обеспечивают возможность разработки режимов степпера и сканера для чипов с размерами в пределах 10×10 мм2. Точность позиционирования образца не менее 1 мкм, минимальный шаг при подстройке не более 0,5 нм. Наличие активной виброзащиты (наряду с пассивной) позволяет использовать экспозиции до 30с. Смена образца и/или маски выполняется без нарушения вакуума в других блоках прибора.Нанолитограф помещают в индивидуальную чистую комнату класса 100, рассчитанную на одного оператора. Компьютерный блок управления расположен снаружи (вне чистой комнаты). Он обеспечивает полный контроль всех функций литографа, включая вакуумную и газовую системы, интегральный и спектральный состав излучения, позиционирование образца и маски (ретикла).Маркетинговая стратегия и рынок сбытаРазрабатываемая система представляет собой ЭУФ фотолитограф с оптическим разрешением 10-40 нм. Изображающий объектив такого нанолитографа позволяет реализовать достаточно высокое разрешение, необычное для зеркальной изображающей системы, но ценой уменьшения площади изображения. При помощи разработанного нелинейного фоторезиста предполагается увеличить результирующую разрешающую способность относительно оптического разрешения до двух раз за счёт высокого контраста передачи изображения. Рассматриваемое устройств оказывается гораздо дешевле и проще в изготовлении, чем нанолитограф для промышленного применения, и не включает в себя некоторые узлы типичного промышленного фотолитографа для производства СБИС, способных обеспечить высокую производительность. Прибор создается для получения опыта по всем актуальным проблемам ЭУФ-нанолитографии. Во-первых установка является стендом для изучения собственно проблем ЭУФ-литографии, во вторых, она предназначена для создания, поштучно или мелкими сериями, различных наноструктур для научно-технических целей, в том числе регулярных или произвольных квантоворазмерных структур, мезоскопических цепей, одноэлектронных транзисторов, фотонных структур и т.д. Потенциальными потребителями ЭУФ нанолитографов такого рода являются: научно-исследовательские институты, специализирующихся в микро- и нано- технологияхисследовательские лаборатории в университетахОриентировочная спрос по России, по некоторым оценкам, примерно 20 установок.Литографы могут быть также использованы в режиме центров коллективного пользования, но основная их функция – отработка конструкций и технологий для установок промышленного применения, которые будут отличаться, прежде всего, механическими узлами блока образца. В случае успешного создания нанолитографа его можно предложить целому ряду отечественных и зарубежных заказчиков, которые уже активно интересуются проектом. Это, в первую очередь, предприятия электронного комплекса и научные центры, в т.ч. участвующие в реализации Федеральной Программы «Национальная элементная база». Наиболее перспективным является дальнейшее развитие с созданием ИТЦН (инновационно-технологических центров наноэлектроники), в которых проводились бы в т. ч. разработки новой элементной базы наноэлектроники. В них данный ЭУФ нанолитограф выполнял бы функции основного оборудования, задающего уровень новых разработок. По некоторым оценкам затраты необходимые на развитие собственных технологий, гораздо меньше, чем на закупку готовых технологических приборов и установок за рубежом. Более того, существуют международные запреты на экспорт оборудования такого уровня. В лучшем случае возможно приобретение оборудования импортного производства в момент его морального устаревания. Созданное в России оборудование снимет эту проблему и приведет к сокращению отставания от передовых стран по технологическому уровню. Конкурентные преимуществаНаходящийся в разработке ЭУФ нанолитограф не имеет отечественных аналогов. Правда аналогичный прибор был изготовлен фирмой ASML в Голландии, и два экземпляра установлены в исследовательских центрах Бельгии и США. В начале 2008 года появились сведения [67] о создании микросхемы с топологическими размерами 45-нм технологического процесса на ASML alpha demo tool (ADT). При помощи ADT создан полный рисунок первого слоя металлических межсоединений. Таким образом, на ЭУФ-литографе ASML была успешно выполнена одна из наиболее ответственных операций выпуска УБИС по 45 нм технологии, являющейся наилучшим достижением технологии, реализуемой на 193-нм иммерсионных сканерах. Нанолитограф, изготовленный фирмой CANON в Японии, предназначался для установки в исследовательском технологическом центре близ Токио. К сожалению нет сведений о полученных результатах. Еще один аппарат такого рода создан английской фирмой Exitech, а его изображающая система изготовлена фирмой Zeiss и имеетсходные с японским прибором параметры, а источник ЭУФ излучения получен на благодаря разработкам В.М.Борисова и др. (ТРИНИТИ). Две такие установки закуплены фирмами INTEL и SEMATECH. По сравнению с японским и английским аппаратами данный проект рассчитан на большее разрешение, притом обладает приблизительно в 5 раз большим полем изображения. Так как в установке ASML используется относительно низкоапертурный четырёхзеркальный объектив, можно полагать, что его предельное оптическое разрешение ниже, чем в японской и английской моделях.Расчет экономической эффективности создания нанолитографаДоходность инвестиционного проекта одно из главных условий при инвестировании. Она может определяться абсолютными и относительными показателями. Абсолютные показатели информируют инвестора, сколько он может получить дохода, инвестировав деньги в проект, а относительные показатели говорят ему об отдаче каждого рубля его вложений.Одним из относительных показателей являющимся более информативным является показатель «внутренняя норма доходности инвестиционного проекта» (IRR). IRR показывает среднюю норму доходности инвестиций за весь их жизненный цикл. Одновременно этот показатель обрисовывает границу доходности инвестиций, ниже которых инвестировать нет смысла. Эффективность проекта обеспечивается положительной прибылью– инвестор должен становиться богаче. Для измерения эффективности используются показатели NPV (чистый дисконтированный доход, Net Present Value) и IRR (внутренняя норма доходности, Internal Rate of Return). NPV «Net Present Value» переводится как чистая приведенная (к сегодняшнему дню) стоимость. Этот метод оценки инвестиционных проектов, основывается на методологии дисконтирования денежных потоков.Алгоритм расчета NPV такой:сделать оценку денежных потоков от проекта - первоначальное вложение (отток) денежных средств и ожидаемые поступления (притоки) денежных средств в будущемопределиться со стоимостью капитала (cost of capital) для вас - ставка дисконтирования дисконтированияпродисконтировать все денежные потоки Просуммировать все дисконтированные потоки. Это будет NPV проектаЧтобы рассчитать NPV, для начала оценим затраты связные с созданием нанолитографа и определим размер необходимых инвестиций (таблица 4.2)Таблица 4.2 – Затраты связанные с изготовлением ЭУФ нанолитографаЗатратыСумма, тыс. руб.12Создание нанолитографа86 856Доработка лабораторного нанолитографа и отработка на нём технологических приёмов7 200.Создание маски ретикла4100Разработка нелинейного фоторезиста19 555Разработка источника на парах олова с повышенной ЭУФ мощностью (~100Вт/2π)30 000Производственные расходы11 273Общехозяйственные расходы40 190Затраты всего199 174Таким образом необходимый размер инвестиций составляет 199 174 тыс. рублей.Если NPV >0, то проект можно принять, если NPV меньше нуля, то проект стоит отвергнуть.Если NPV =0, это значит, что денежные потоки от проекта достаточны, чтобывозместить инвестированный капитал обеспечить необходимый доход на этот капиталЧем больше величина NPV, тем выгоднее/прибыльнее является проект. Формула расчета NPV выглядит таким образом:(5.1)где: n, t — количество временных периодов,CF — денежный поток (Cash Flow),R — стоимость капитала (ставка дисконтирования, Rate).Срок окупаемости проекта составит 3 (три) года по расчетам экспертной группы создателей проекта по созданию нанолитографа в случае продажи первого изготовленного нанолитографа сразу после его изготовления. При условии что первые два года проект разработки литографа никакого дохода инвестору не принесет и лишь на третьем году будет продан литограф за 500 миллионов рублей, при норме дисконта 15%, приведем таблицу денежных потоков по годам и рассчитаем NPV по указанной формуле:Таблица 4.3 – Денежные потокиNPV==129 584,12 тыс. рубКак видно NPV данного проекта больше нуля, это значит, что его осуществление приведет к увеличению стоимости компании-инвестора.Далее оценим внутреннюю норму доходности проекта IRR.IRR или внутренняя норма доходности — это ставка процента, при которой приведенная стоимость всех денежных потоков инвестиционного проекта (т.е. NPV) равна нулю. Это означает, что при такой ставке процента инвестор может возместить свои начальные инвестиции, но не более того. Экономический смысл данного показателя состоит в том, что по факту он показывает усредненную ежегодную доходность реализации проекта на горизонте рассмотрения. Например, если Вы посчитали проект на 3 года и IRR Вашего проекта составляет N%, это значит, что Ваши инвестиции в проект дадут в среднем N% годовых за 3 года.Если приравнять NPV к нулю, а вместо CF подставить денежные потоки, соответствующие реализации проекта, то в уравнении останется одна переменная IRR. Ставка процента, которая будет решением данного уравнения, т.е. при которой сумма всех слагаемых будет равна нулю, и будет называться IRR или внутренней нормой доходности. Формула для расчета IRR следующая:(5.2)Таким образом исходя из наших данный расчитанная IRR проекта составит 36 %. Полученные 36 % больше стоимости капитала (ставки дисконтирования), что говорит о доходности проекта по созданию ЭУФ нанолитографа.Текущее состояние разработкиГотовность экспериментального нанолитографа 80%. Предполагается его доработка и использование в качестве испытательного стенда для отработки технологий.ЗаключениеТаким образом, в процессе дипломной работы, был проведен анализ перспективных технологий для перехода к размерам элементов ИМС нанометрового диапазона. Как было показано, поиск таких альтернатив обусловлен ограниченностью возможностей оптических систем фотолитографических установок, связанных с дифракционным пределом. Поэтому для дальнейшего ускорения темпов развития микроэлектроники и повышению интеграции и быстродействия ИМС необходимо создание нового поколения литографических систем. В дипломной работе показано и обосновано, что фотолитографии в экстремальном ультрафиолетовом диапазоне (ЭУФ литография) является наиболее привлекательной проекционной технологией, которая использует излучение с длиной волны 13,5 нм. Именно здесь, в последнее время, достигнут заметный прогресс при создании отражательных оптических элементов и высокоэффективных источников излучения. Источником ЭУФ излучения обычно является лазерная плазма, генерируемая импульсным частотным лазером, сфокусированным на мишень или сильноточным разрядом в газе. В работе показано, что одна из основных проблем, не позволяющих до настоящего времени достичь требуемого уровня мощности ЭУФ излучения, является эрозия электродов и изоляторов разрядной камеры под воздействием мощных тепловых потоков и бомбардировки быстрыми ионами. В следствии этого поверхность зеркал загрязняется продуктами этой эрозии и коэффициенты отражения зеркал падают на порядки величин. В данной дипломной работе приводится обзор разработок современных ЭУФ литографических систем не только зарубежных фирм, но и отечественных научных коллективов. Сделан акцент на технологических особенностях российских установок, рассматривается технологические решения для устранения недостатков связанных с источником ЭУФ излучения и оптической системы. Сделана попытка оценить экономическую эффективность от создания ЭУФ нанолитографа на примере разработок в ФТИ им. А.Ф. Иоффе РАН. Приведены стоимостные оценки необходимых инвестиции для создания ЭУФ стенда. Определены размеры чистого дисконтированного дохода NPV, который составил 129 584 тыс. руб. при условии что нанолитограф будет продан через 3 года, сразу после его изготовления. Посчитана внутренняя норма доходности IRR равная 36 %, что говорит о о доходности инновационного проекта по созданию ЭУФ нанолитографа. В работе составлен перечень опасных факторов связанных с работой на установке ЭУФ нанолитографа, и предложены мероприятия по охране и безопасности труда рабочего персонала. Также сделан акцент на экологичности ИМС производимых с помощью ЭУФ литографии.СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ1. Wolf S. Silicon Processing for the VLSI Era. Vol. 2 – Process Integration.– Lattice Press: Sunset Beach, CA, USA, 1990.– 752 p.2. Ning T.H. CMOS in the New Millennium. – Semiconductor Fabtech. – 13th Edition, ICG Publishing Ltd., London, UK, 2001, p. 287–295. 3. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA. 4. The National Technology Roadmap for Semiconductors, 1997 ed.–Semiconductor Industry Association, San Jose, CA.5. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA. 6. The National Technology Roadmap for Semiconductors, 1997 ed.–Semiconductor Industry Association, San Jose, CA.7. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.8. Solid State Technology, April, 2001, p.20.9. S.M.Sze. VLSI technology Owerviews and Trends. In: Proc. of the 14_th Conf. on Solid State Devices, Tokyo,1982; Jap. J. of Appl. Phys., V.22 (1983) Suppl.22_1, pp.3_1010. Kawamato E., KimuraK., Nakazato J. et al. Yhe Outlook for Semiconductor Processes and Manufacturing Technologies in the 0.1-mm Age.–Hitachi Review, 1999, v.48, N6, p.334–339.11. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.12. Sytsma A., Loan H., Moers M. et al. Improved Imaging Metrology Needed for Advanced Lithogaphy.– Semiconductor International, April, 2001,90. 13. Derbyshire K. Next-Generation Lithography: Beyond 100 nm. – Semiconductor Magazine, Sept., 2001, p.43–45.14. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.15. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.16. Sharan A., Ballingall J. Speeding the Transition to Sub-wavelength Silicon –Semiconductor Magazine, July, 2001, p.87–98.17. Derbyshire K. Next-Generation Lithography: Beyond 100 nm. –Semiconductor Magazine, Sept., 2001, p.43–45.18. Seidel P., Canning J., Mackay S. et al. Next Generation Advanced Lithography –Semiconductor Fabtech–7-th Edition.– ICG Publishing Ltd., London, UK, 1998, p.147–171. 19. Ware P. Next Generation Lithography: What’s Really Next?– New Direction in Lithography, 1999.– FSI International, Inc., p.16–22. 20. Haavind R. Economic will dictate the Future.– Solid State Technology, Aug., 2001, p.14.21. Seidel P., Canning J., Mackay S. et al. Next Generation Advanced Lithography –Semiconductor Fabtech–7-th Edition.– ICG Publishing Ltd., London, UK, 1998, p.147–171. 22. Ware P. Next Generation Lithography: What’s Really Next– New Direction in Lithography, 1999.– FSI International, Inc., p.16–22.23. Haavind R. Economic will dictate the Future.– Solid State Technology, Aug., 2001, p.14 24. Next-generation litho Progress, Innovative Technologies at MRS. – Solid State Technology, Feb., 2001, p.32. 25. Roush W. EUV Edging Out Revals as Next Generation IC Fab Tool.– IEEE Spectrum, June, 2001, p.25–26.26. Colburn M., Bailey T., Choi B.J. et al. Development and Advanteges of Step-and-Flash Lithography.–Solid State Technology, July, 2001, p.67–68.27. Braun A. Semiconductor International, 2.11.200928. http://www. intel.com. 29. Deffree S. Electronic News, 10.27.2008, www.smics.com. 30. Wilson R. Samsung intros 32Gbyte card using 30nm NAND chips.http:// www.electronicsweekly.com . 31. http://www.edn.com.32. http://www.electronicsweekly.com.33. http://www.globalspec.com/FeaturedProducts34. http://www.semiconductor.net35. Гапонов С.В. Работы в области проекционной EUV- литографии в рамках российской программы. // С.В.Гапонов Материалы симпозиума «Нанофизика и наноэлектроника», 2005. – Нижний Новгород: ИФМ РАН , 2005, Т. 10. 36. Chkhalo N.I. et al. Manufacturing and investigation of objective lens for ultrahigh resolution lithography facilities. – Proc.SPIE, 2008, v.7025. 11. 37. Bibishkin, M. S. et al. Multilayer Zr/Si filters for EUV lithography and for radiation source metrology. – Proc. SPIE, 2008, v.7025. 38. Chkhalo, N. I. et al. Correction of the EUV mirror substrate shape by ion beam. – Proc. SPIE, 2008, v.7025. 13. 39. Салащенко Н.Н. Коротковолновая проекционная литография.– Вестник РАН 2008, т.78, №5. 40. Клюенков Е.Б. Работы по созданию и аттестации рентгенооптических элементов и систем сверхвысоко- го разрешения в ИФМ РАН. // Е.Б.Клюенков Изв.РАН . Сер. физическая. 2009. Т.1.41. Аверкин С.Т. Разработка низкотемпературных плазмохимических процессов и серии плазменных установок для микро- и нанотехнологий. // С.Т.Аверкин. Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, Т. 18.42. Сейсян Р. Нанолитография СБИС в экстремально дальнем вакуумном ультрафиолете (Обзор). // Р.Сейсян. Журнал технической физики, 2005, Т. 75.43. Kim D., Cha D., Lee S. // Jap. J. Appl. Phys. 1998. Vol. 37. P. 2728. 44. Салащенко Н.Н. Работы в области микроэлектроники. // Н.Н.Салащенко. Материалы Всероссийского совещания «Рентгеновская отпика». Нижний Новгород, 1998. С. 53–57.45. http://www.asml.com46. O’Sullivan G., Dunne P. // Proc. EUVL Source Workshop. Dallas, 2002.47. The Proceedings of EUVL Source Workshop. Dallas, 2002.48. Борисов В.М. Работы в области нанотехнологий. // В.М. Борисов. Материалы Всероссийского совещания „Рентгеновская отпика“. Нижний Новгород, 2003. С. 124–13049. The Proceedings of EUVL Source Workshop. Dallas, 2002. 50. O’Sullivan G., Dunne P. // Proc. EUVL Source Workshop. Dallas, 2002.51. The Proceedings of EUVL Source Workshop. Dallas, 2002.52. Tichenor D.A., Cubiak G.D., Malinovski M.E., Stulen R.H. et al. // Proc. SPIE, Development of a Laboratory ExtremeUltraviolet Lithography Tool. Vol. 2194. P. 95–105.53. Horiike Y. Доклад о новой Японской программе работ по EUVL, Международный симпозиум по нанотехнологиям. СПб.: ФТИ, 2002. 54. Dinger U., Eisert F., Lasser H. et al. // SPIE PRO. 2000. Vol 4.0.55. Bjorkholm J.E. // Intel Technology Journal. Q3’98. P. 1–8.56. Horiike Y. Доклад о новой Японской программе работ по EUVL, Международный симпозиум по нанотехнологиям. СПб.: ФТИ, 2002. 57. Dinger U., Eisert F., Lasser H. et al. // SPIE PRO. 2000. Vol 4.0.]58. Ulrich W., Beiersdorfer S., Mann H.-J. // SPIE UC. 2000. Vol. 4.1.59. Bjorkholm J.E. // Intel Technology Journal. Q3’98. P. 1–8.60. Matsuzawa N., Irie S., Yano E., Okazaki S., Ishitani A. // Theoretical Calculations of Photoabsorption of Polymers in the EUV (Extreme Ultraviolet) Region. SPIE Proc. 2001. Vol. 4343. P. 278–284.61. Бараш Е.Г., Кабин А.Ю., Любин В.М., Сейсян Р.П. // ЖТФ. 1992. Т. 62. Вып. 3. С. 106–113.62. Wagner Ch, Harned N Nature Photon. 4 24 (2010)63. Wood O et al. Proc. SPIE 7271 727104 (2009) 97. Tawarayama K et al. Jpn. J. Appl. Phys. 48 06FA02 (2009)64. Wood O et al. Proc. SPIE 7271 727104 (2009)65. http://www.electroiq.com/articles /photolithography-microsteppers.html66. Волгунов Д.Г. и др. Изв. РАН. Cep. физ. 75 54(2011)67. Зуев С Ю И Др. Изв. РАН. Сер. физ. 75 61 (2011)68. Tsarfati T ет а1. Thin Solid Films 518 1365 (2009) 461 (2009)69. ASML Images, Spring Edition 2008, p.8-9

Список литературы [ всего 69]

Wolf S. Silicon Processing for the VLSI Era. Vol. 2 – Process Integration.– Lattice Press: Sunset Beach, CA, USA, 1990.– 752 p.
2. Ning T.H. CMOS in the New Millennium. – Semiconductor Fabtech. – 13th Edition, ICG Publishing Ltd., London, UK, 2001, p. 287–295.
3. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.
4. The National Technology Roadmap for Semiconductors, 1997 ed.–Semiconductor Industry Association, San Jose, CA.
5. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.
6. The National Technology Roadmap for Semiconductors, 1997 ed.–Semiconductor Industry Association, San Jose, CA.
7. The International Technology Roadmap for Semiconductors, 2001 ed.– Semiconductor Industry Association, San Jose, CA.
8. Solid State Technology, April, 2001, p.20.
9. S.M.Sze. VLSI technology Owerviews and Trends. In: Proc. of the 14_th Conf. on Solid State Devices, Tokyo,1982; Jap. J. of Appl. Phys., V.22 (1983) Suppl.22_1, pp.3_10
10. Kawamato E., KimuraK., Nakazato J. et al. Yhe Outlook for Semiconductor Processes and Manufacturing Technologies in the 0.1-mm Age.–Hitachi Review, 1999, v.48, N6, p.334–339.
11. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.
12. Sytsma A., Loan H., Moers M. et al. Improved Imaging Metrology Needed for Advanced Lithogaphy.– Semiconductor International, April, 2001,90.
13. Derbyshire K. Next-Generation Lithography: Beyond 100 nm. – Semiconductor Magazine, Sept., 2001, p.43–45.
14. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.
15. Microlithogaphy: Science and Technology/edited by J.R.Sheats and B.W.Smith. Marcell Dekker Inc., N.Y., USA, 1998.– 780 p.
16. Sharan A., Ballingall J. Speeding the Transition to Sub-wavelength Silicon –Semiconductor Magazine, July, 2001, p.87–98.
17. Derbyshire K. Next-Generation Lithography: Beyond 100 nm. –Semiconductor Magazine, Sept., 2001, p.43–45.
18. Seidel P., Canning J., Mackay S. et al. Next Generation Advanced Lithography –Semiconductor Fabtech–7-th Edition.– ICG Publishing Ltd., London, UK, 1998, p.147–171.
19. Ware P. Next Generation Lithography: What’s Really Next?– New Direction in Lithography, 1999.– FSI International, Inc., p.16–22.
20. Haavind R. Economic will dictate the Future.– Solid State Technology, Aug., 2001, p.14.
21. Seidel P., Canning J., Mackay S. et al. Next Generation Advanced Lithography –Semiconductor Fabtech–7-th Edition.– ICG Publishing Ltd., London, UK, 1998, p.147–171.
22. Ware P. Next Generation Lithography: What’s Really Next– New Direction in Lithography, 1999.– FSI International, Inc., p.16–22.
23. Haavind R. Economic will dictate the Future.– Solid State Technology, Aug., 2001, p.14
24. Next-generation litho Progress, Innovative Technologies at MRS. – Solid State Technology, Feb., 2001, p.32.
25. Roush W. EUV Edging Out Revals as Next Generation IC Fab Tool.– IEEE Spectrum, June, 2001, p.25–26.
26. Colburn M., Bailey T., Choi B.J. et al. Development and Advanteges of Step-and-Flash Lithography.–Solid State Technology, July, 2001, p.67–68.
27. Braun A. Semiconductor International, 2.11.2009
28. http://www. intel.com.
29. Deffree S. Electronic News, 10.27.2008, www.smics.com.
30. Wilson R. Samsung intros 32Gbyte card using 30nm NAND chips.http:// www.electronicsweekly.com .
31. http://www.edn.com.
32. http://www.electronicsweekly.com.
33. http://www.globalspec.com/FeaturedProducts
34. http://www.semiconductor.net
35. Гапонов С.В. Работы в области проекционной EUV- литографии в рамках российской программы. // С.В.Гапонов Материалы симпозиума «Нанофизика и наноэлектроника», 2005. – Нижний Новгород: ИФМ РАН , 2005, Т. 10.
36. Chkhalo N.I. et al. Manufacturing and investigation of objective lens for ultrahigh resolution lithography facilities. – Proc.SPIE, 2008, v.7025. 11.
37. Bibishkin, M. S. et al. Multilayer Zr/Si filters for EUV lithography and for radiation source metrology. – Proc. SPIE, 2008, v.7025.
38. Chkhalo, N. I. et al. Correction of the EUV mirror substrate shape by ion beam. – Proc. SPIE, 2008, v.7025. 13.
39. Салащенко Н.Н. Коротковолновая проекционная литография.– Вестник РАН 2008, т.78, №5.
40. Клюенков Е.Б. Работы по созданию и аттестации рентгенооптических элементов и систем сверхвысоко- го разрешения в ИФМ РАН. // Е.Б.Клюенков Изв.РАН . Сер. физическая. 2009. Т.1.
41. Аверкин С.Т. Разработка низкотемпературных плазмохимических процессов и серии плазменных установок для микро- и нанотехнологий. // С.Т.Аверкин. Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, Т. 18.
42. Сейсян Р. Нанолитография СБИС в экстремально дальнем вакуумном ультрафиолете (Обзор). // Р.Сейсян. Журнал технической физики, 2005, Т. 75.
43. Kim D., Cha D., Lee S. // Jap. J. Appl. Phys. 1998. Vol. 37. P. 2728.
44. Салащенко Н.Н. Работы в области микроэлектроники. // Н.Н.Салащенко. Материалы Всероссийского совещания «Рентгеновская отпика». Нижний Новгород, 1998. С. 53–57.
45. http://www.asml.com
46. O’Sullivan G., Dunne P. // Proc. EUVL Source Workshop. Dallas, 2002.
47. The Proceedings of EUVL Source Workshop. Dallas, 2002.
48. Борисов В.М. Работы в области нанотехнологий. // В.М. Борисов. Материалы Всероссийского совещания „Рентгеновская отпика“. Нижний Новгород, 2003. С. 124–130
49. The Proceedings of EUVL Source Workshop. Dallas, 2002.
50. O’Sullivan G., Dunne P. // Proc. EUVL Source Workshop. Dallas, 2002.
51. The Proceedings of EUVL Source Workshop. Dallas, 2002.
52. Tichenor D.A., Cubiak G.D., Malinovski M.E., Stulen R.H. et al. // Proc. SPIE, Development of a Laboratory ExtremeUltraviolet Lithography Tool. Vol. 2194. P. 95–105.
53. Horiike Y. Доклад о новой Японской программе работ по EUVL, Международный симпозиум по нанотехнологиям. СПб.: ФТИ, 2002.
54. Dinger U., Eisert F., Lasser H. et al. // SPIE PRO. 2000. Vol 4.0.
55. Bjorkholm J.E. // Intel Technology Journal. Q3’98. P. 1–8.
56. Horiike Y. Доклад о новой Японской программе работ по EUVL, Международный симпозиум по нанотехнологиям. СПб.: ФТИ, 2002.
57. Dinger U., Eisert F., Lasser H. et al. // SPIE PRO. 2000. Vol 4.0.]
58. Ulrich W., Beiersdorfer S., Mann H.-J. // SPIE UC. 2000. Vol. 4.1.
59. Bjorkholm J.E. // Intel Technology Journal. Q3’98. P. 1–8.
60. Matsuzawa N., Irie S., Yano E., Okazaki S., Ishitani A. // Theoretical Calculations of Photoabsorption of Polymers in the EUV (Extreme Ultraviolet) Region. SPIE Proc. 2001. Vol. 4343. P. 278–284.
61. Бараш Е.Г., Кабин А.Ю., Любин В.М., Сейсян Р.П. // ЖТФ. 1992. Т. 62. Вып. 3. С. 106–113.
62. Wagner Ch, Harned N Nature Photon. 4 24 (2010)
63. Wood O et al. Proc. SPIE 7271 727104 (2009) 97. Tawarayama K et al. Jpn. J. Appl. Phys. 48 06FA02 (2009)
64. Wood O et al. Proc. SPIE 7271 727104 (2009)
65. http://www.electroiq.com/articles /photolithography-microsteppers.html
66. Волгунов Д.Г. и др. Изв. РАН. Cep. физ. 75 54(2011)
67. Зуев С Ю И Др. Изв. РАН. Сер. физ. 75 61 (2011)
68. Tsarfati T ет а1. Thin Solid Films 518 1365 (2009) 461 (2009)
69. ASML Images, Spring Edition 2008, p.8-9
Очень похожие работы
Пожалуйста, внимательно изучайте содержание и фрагменты работы. Деньги за приобретённые готовые работы по причине несоответствия данной работы вашим требованиям или её уникальности не возвращаются.
* Категория работы носит оценочный характер в соответствии с качественными и количественными параметрами предоставляемого материала. Данный материал ни целиком, ни любая из его частей не является готовым научным трудом, выпускной квалификационной работой, научным докладом или иной работой, предусмотренной государственной системой научной аттестации или необходимой для прохождения промежуточной или итоговой аттестации. Данный материал представляет собой субъективный результат обработки, структурирования и форматирования собранной его автором информации и предназначен, прежде всего, для использования в качестве источника для самостоятельной подготовки работы указанной тематики.
bmt: 0.00536
© Рефератбанк, 2002 - 2024